ロジックICとかで遊ぶときのチャタリングの話

みなさんお元気ですか?おいらは長距離通勤になって寝不足気味なのと電車の中で暇です。という訳で今回はスマホで適当にTips的な記事を書いてみた。ロジックICとかで遊ぶときのチャタリングの話。 そういや意外とチャタリングの波形ってまじまじと見た事があ…

FPGAで遊んでみた

みなさんお元気ですか?おいらは冬の寒さに負けて引きこもりがちです*1*2。引きこもると電子工作したくなるよね!という訳でちょっと前にFPGAで遊んでみたのを忘備録として書く*3。 回路とか 写真と回路図。回路図は書かずに作っちゃった*4ので実物を見て起…

ロジックICでPWMしてみた(その2)

みなさんお元気ですか?おいらは夏の暑さに負けて夏バテ気味です*1。さて、前回の続きで、今回は同期設計で遊んでみる。 同期設計 前回と同様の回路を同期設計ぽく考えるとこんな感じになる*2。上に前回の、下に今回の。大きな違いはというと、コンパレータ…

ロジックICでPWMしてみた(その1)

ロジックICで遊ぼうシリーズ!ということで前回はSPIしたけど今回はPWM。え?マイコンでよくね?仰る通りなんだけどそれじゃあつまんないし、オペアンプとコンパレータでアナログなPWMをして遊んでるのはまあまあ見るけど、意外とデジタルなPWMを自作して遊…

ロジックICでマイコンとSPIしてみた

前書き マイコンとかをいじっていて、内部の変数を見たいんだけどいっぱい線を引かずに済む方法は無いかなって思うこと無い? 個人的にはマイコンからSPIで吐いて74HC595でLEDを点けるのが好きでバーLEDとか7セグとかいくつか作った事がある。 今回は74HC165…

伝達関数の差分化の話(その3)

そろそろ終わりにしたい気もするけど、やっぱりグラフの比較くらいほしいのと、自分流+=戦法の話をもう少し書いておく。もうちっとだけ続くんじゃ。 3.1. 1次遅れ系の実装と波形の比較 さて、(1)の実装として、(2)と(3)を比較してみよう。 ...... (1) ......…

伝達関数の離散化の話(その2)

前回は伝達関数の話と言いつつ伝達関数が出てこないタイトル詐欺だったので、今回は適当に例題として以下の伝達関数を離散化してみる。 ...... (1) どんな伝達関数かというと実は(その0)の図1に出てきた伝達関数を整理しただけ。一次遅れ系と呼ばれてて…

伝達関数の離散化の話(その1)

前回は数式を使わずにラプラス変換の話をしようとしたら無理だった。まあ「をで書こう」ってのは、「微分の計算をサンプリングされたデータ列の演算で近似しよう」ということ。今回は以下の簡単な微分方程式を例にいくつか方法を示す。 ...... (1) 以降、添…

伝達関数の離散化の話(その0)

実質最初の記事がいきなりマニアックになってしまった。まあ制御に関係なくても「マイコンにローパスフィルタを実装したいんだけど、この伝達関数ってやつ、どうしたら実装できるの?」みたいな事はあると思うので、何かの役には立つかもしれない。あとググ…

はじめに

皆がすなるブログというものを我もしてみんとてするなり。 1.Who? サイクリングと電子工作が趣味の社会人5年目(2020年時点)。せっかくの休日を無駄に過ごすのはもったいないので、モチベーションを維持したり忘備録としても使えればと思い、2,3年前にT…